Vivado IO Constraint

IO delay

Input delay


数据相对于上升沿往后的延迟。
inputDelay_1
inputDelay_2
Example code:

1
2
3
create_clock -name sysClk -period 10 [get_ports CLK0]
set_input_delay -clock sysClk -max 4 [get_ports DIN]
set_input_delay -clock sysClk -min 1 [get_ports DIN]

若不考虑板级延迟,那就可根据前级芯片的建立/保持时间来推算此MAX/MIN的值。

Output delay


数据相对于上升沿往前的延迟。即原点在目标时钟的上升沿,正半轴朝往前面的时间。
outputDelay_1
如果设定trce_dly都等于0的话(即板级延迟忽略),那么:

The set_output_delay -max should be the setup requirement of the external device; The set_output_delay -min should be the negative of the hold requirement.

Example code:

1
2
set_output_delay -clock <clock> 0.6 [get_ports NWAIT]
set_output_delay -clock <clock> -3.2 -min [get_ports NWAIT]